8位移位寄存器
library ieee;
use ieee.std_logic_1164.all;
entity shifter is
port (
data_in: in std_logic_vector(7 downto 0);--輸入的數(shù)據(jù)
n: in std_logic_vector(2 downto 0);--移位的數(shù)量
dir: in std_logic--移動的方向 0:左 1:右
kind: in std_logic_vector(1 downto 0);--移動類型 00:算術(shù)移 01:邏輯移 10:循環(huán)移
clock: in bit;--手動時鐘pulse
data_out: out std_logic_vector(7 downto 0)--移位的結(jié)果
);
end shifter;architecture behav of shifter is
begin
process (data_in, n, dir, kind)
variable x,y : std_logic_vector(7 downto 0);
variable ctrl0,ctrl1,ctrl2 : std_logic_vector (3 downto 0);
begin
if (clock'event and clock = '1')then--產(chǎn)生控制向量ctrl
ctrl0 := n(0) & dir & kind(1) & kind(0);
ctrl1 := n(1) & dir & kind(1) & kind(0);
ctrl2 := n(2) & dir & kind(1) & kind(0);
ctrl2 := n(2) & dir & kind(1) & kind(0);
case ctrl0 is
when 0000 | 0001 | 0010 | 0100 | 0101 | 0110 => x := data_in; --n=0時不移動
when 1000 => x := data_in(6 downto 0) & data_in(0);--算術(shù)左移1位
when 1001 => x := data_in(6 downto 0) & '0';--邏輯左移1位
when 1010 => x := data_in(6 downto 0) & data_in(7); --循環(huán)左移1位
when 1100 => x := data_in(7) & data_in(7 downto 1);-算術(shù)右移1位
when 1101 => x := '0' & data_in(7 downto 1);--邏輯右移1位
when 1110 => x := data_in(0) & data_in(7 downto 1);--循環(huán)右移1位
when others => null;
end case;
case ctrl1 is
when 0000 | 0001 | 0010 | 0100 | 0101 | 0110 => y := x; --n=0時不移動
when 1000 => y := x(5 downto 0) & x(0) & x(0);--算術(shù)左移2位
when 1001 => y := x(5 downto 0) & 00;--邏輯左移2位
when 1010 => y := x(5 downto 0) & x(7 downto 6);--循環(huán)左移2位
when 1100 => y := x(7) & x(7) & x(7 downto 2);--算術(shù)右移2位
when 1101 => y := 00 & x(7 downto 2);--邏輯右移2位
when 1110 => y := x(1 downto 0) & x(7 downto 2);--循環(huán)右移2位
when others => null;
end case;case ctrl2 is
when 0000 | 0001 | 0010 | 0100 | 0101 | 0110 => data_out <= y; --n=0時不移動
when 1000 => data_out <= y(3 downto 0) & y(0) & y(0) & y(0) & y(0); --算術(shù)左移4位
when 1001 => data_out <= y(3 downto 0) & 0000; --邏輯左移4位
when 1010 | 1110 => data_out <= y(3 downto 0) & y(7 downto 4);--循環(huán)左(右)移4位
when 1100 => data_out <= y(7) & y(7) & y(7) & y(7) & y(7 downto 4);--算術(shù)右移4位
when 1101 => data_out <= 0000 & y(7 downto 4);--邏輯右移4位
when others => null;
end case;
end if;
end process;
end behav;